Welcome![Sign In][Sign Up]
Location:
Search - VHDL I2C

Search list

[SourceCodeI2C_SLAVE VHDL

Description: I2C_SLAVE VHDL
Platform: | Size: 1296859 | Author: qc.kwung@gmail.com | Hits:

[VHDL-FPGA-VerilogVHDL 通过I2C协议高速控制E2PROM

Description: 高速:300M峰值 代码有中文注释,很容易理解
Platform: | Size: 854936 | Author: walter.bell@163.com | Hits:

[VHDL-FPGA-Verilogi2c_cores

Description: IIC总线协议,VHDL语言编写,可以直接使用-IIC bus protocol, VHDL language can be used directly
Platform: | Size: 20480 | Author: 李无志 | Hits:

[VHDL-FPGA-VerilogI2C控制核

Description:
Platform: | Size: 733184 | Author: 韦伯 | Hits:

[VHDL-FPGA-VerilogI2C总线控制器 Xilinx提供

Description: 用Verilog HDL实现I2C总线功能,对I2C总线有很大帮助-I2C bus contrll functions implemented by Verilog HDL.
Platform: | Size: 889856 | Author: 司法 | Hits:

[VHDL-FPGA-VerilogI2C_IPcore_VHDL

Description: 这是一个I2C串行数据通信协议以VHDL硬件描述语言实现的IP核,可直接编译运行-I2C serial data communication protocol to VHDL hardware description language of the IP core can be directly translated Operation
Platform: | Size: 6144 | Author: 陈州徽 | Hits:

[VHDL-FPGA-Verilogi2cvhdl

Description: i2c接口编程试验,quartusII开发-i2c Programming Interface testing, development quartusII
Platform: | Size: 2048 | Author: 赵晗 | Hits:

[VHDL-FPGA-Verilogi2c_control

Description: 本文件是iic总线控制器的vhdl语言的源代码程序-2005/09 Bus Controller VHDL language source code procedures
Platform: | Size: 7168 | Author: 王立华 | Hits:

[Windows DevelopI2C_vhdl

Description: i2c serial bus model. and some pdf in it, maybe helpful.-i2c serial bus model. And some pdf in it, maybe helpful.
Platform: | Size: 38912 | Author: nightyboy | Hits:

[VHDL-FPGA-Verilogddr_sdram_controller_vhdl

Description: ddr_sdram控制器的vhdl代码,里面的地址和数据长度可配置,能满足不同用户的需要.-ddr_sdram controller vhdl code, which addresses and the data length can be configured, meet the needs of different users.
Platform: | Size: 13312 | Author: hxwf801 | Hits:

[VHDL-FPGA-Verilogi2c_master_top0004

Description: 基于VHDL的I2C程序0004,很不错的论文及程序,,大家快下啊-based on the I2C procedures VHDL 0004, a very good paper and procedures, we quickly under ah
Platform: | Size: 4096 | Author: cai | Hits:

[VHDL-FPGA-Verilogi2c_modular

Description: 本程式為使用VHDL撰寫的I2C controller modular, 使用者可以輕易的套用, 方便控制i2C的硬件. 也可以從code style了解I2C的spec. 動作模式. 極適用於初學者.-program for the use of the VHDL written I2C controller modular, Users can easily use, i2C convenient control of the hardware. can understand from the code style I2C in the spec. Action mode. very applicable to beginners.
Platform: | Size: 8192 | Author: 明華 | Hits:

[VHDL-FPGA-Verilogi2c_7111_7128

Description: vhdl,用i2c控制philips的7111和7128-vhdl, and the i2c control philips 7111 and 7128
Platform: | Size: 8192 | Author: kevin | Hits:

[VHDL-FPGA-Verilogiictestbench

Description: vhdl写的完整i2c代码,有仿真文件,是清华的人写的,质量可靠,请大家交流,qq:398087764-vhdl the integrity i2c write code, simulation document, the writers of Qinghua, reliable quality, Please exchange qq : 398087764
Platform: | Size: 214016 | Author: sunwei | Hits:

[VHDL-FPGA-VerilogVerilog_Development_Board_Sources

Description: 朋友,我是Jawen.看到先前上载的一套CPLD开发板的VHDL源码挺受欢迎的,现在就将她的Verilog源码也一并贡献给大家:8位优先编码器,乘法器,多路选择器,二进制转BCD码,加法器,减法器,简单状态机,四位比较器,7段数码管,i2c总线,lcd液晶显示,拨码开关,串口,蜂鸣器,矩阵键盘,跑马灯,交通灯,数字时钟-friends, I Jawen. previously seen on the set of CPLD Development Board VHDL source code quite welcome, Now she will also be Verilog source contribution to everyone : eight priority encoder, multipliers, Multi-channel selector, binary to BCD, adder, subtraction device, the simple state machine, four comparators, 7 of the digital control, i2c bus, lcd LCD allocated code switches, serial port, the buzzer sounded, matrix keyboards, Bomadeng. Traffic lights, digital clock
Platform: | Size: 3151872 | Author: Jawen | Hits:

[VHDL-FPGA-Verilogvhdl_i2c

Description: 7. IIC 接口EEPROM 存取实验 按动开发板键盘某个键CPLD 将拨码开关的数据写入EEPROM 的某个地址,按动另 外一个键,将刚写入的数据读回CPLD,并在数码管上显示。帮助读者掌握I2C 的总线协 议和EEPROM 的读写方法。-7. IIC EEPROM Access Interface Development Board experimental pressed a button keyboard CPLD code will go into the data switch E EPROM a certain address, pressed another button, just write the data back to reading CPLD, and the digital pipe show. To help readers master the I2C bus protocol and EEPROM read and write methods.
Platform: | Size: 419840 | Author: 赵海东 | Hits:

[VHDL-FPGA-Verilogi2c

Description: I2C端口的FPGA实现,网上较多,但发现不少有问题,这个是在网上代码的基础上修改过,验证可行。-I2C port FPGA, online more, but found that many problems This is a code on the Internet on the basis of the revised test feasible.
Platform: | Size: 1024 | Author: 贾明 | Hits:

[MPIaltera_avalon_i2c_slave_new

Description: i2c从设备的源码,VHDL语言写的,有疑问请eMail:feng_er_cn@163.com-i2c-source from the equipment, VHDL language, and have questions, please eMail: feng_er_cn@163.com
Platform: | Size: 17408 | Author: 宋大业 | Hits:

[VHDL-FPGA-VerilogI2C

Description: 语言:verilog 功能:用Verilog HDL编写的I2C主机串行通信的程序。两条总线线路:一条串行数据线 SDA, 一条串行时钟线 SCL;串行的 8 位双向数据传输位速率在标准模式下可达 100kbit/s,快速模式下可达 400kbit/s ,高速模式下可达 3.4Mbit/s;在数据传输过程中,当时钟线为高电平时,数据线必须保持稳定。如果时钟线为高电平时数据线电平发生变化,会被认为是控制信号。 仿真工具:modelsim 综合工具:quartus -Language: verilog Function: I2C written in Verilog HDL with the host serial communication program. Two bus lines: a serial data line SDA, a serial clock line SCL 8-bit bi-directional serial data transmission bit rate in the standard mode of up to 100kbit/s, fast mode, up to 400kbit/s, high-speed mode of up to 3.4Mbit/s in the data transmission process, when the clock line is high, the data line must remain stable. If the clock line is high level when the data line changes will be considered is the control signal. Simulation tools: modelsim synthesis tool: quartus II
Platform: | Size: 8192 | Author: huangjiaju | Hits:

[VHDL-FPGA-VerilogI2C_Interface(VHDL)

Description: I2C总线接口FPGA的实现代码,全部为VHDL语言源码文件,内附设计实用说明文档。-I2C bus interface FPGA implementation of the code, all source files for the VHDL language, included the design and practical documentation.
Platform: | Size: 59392 | Author: Field | Hits:
« 1 2 34 5 6 7 8 9 10 ... 18 »

CodeBus www.codebus.net